Cell Timing Information

        1. si.inp generation
        2. MoveSiInp.pl
            a. TransientHspice.pl
            b. CapaFinder.pl
        3. Transient Analysis
        4. Entra-point Consideration
        5. HspiceAUTO.pl
            a. Spectre2Hspice.pl
            b. fallrisetable.pl
        6. librarylist.pl
        7. librarymaker.pl
        8. LibraryCompiler
 

 

 

TransientHspice .pl will take the si.inp and transform it into a Hspice file for transient analysis of the cells.  The output Hspice file will be called TRANS_(cell name).sp.  In this tutorial, we have TRANS_nand2x1.sp.  This file looks like this:

MoveSiInp.pl then runs a Hspice simulation on this file and stores the result in the nand2x1 folder. 

Next-->