Cell Timing Information

        1. si.inp generation
        2. MoveSiInp.pl
            a. TransientHspice.pl
            b. CapaFinder.pl
        3. Transient Analysis
        4. Entra-point Consideration
        5. HspiceAUTO.pl
            a. Spectre2Hspice.pl
            b. fallrisetable.pl
        6. librarylist.nh
        7. librarymaker.pl
        8. LibraryCompiler
 

 

 

The next step is to put all the tables into one timing library file.  The library file follows the syntax of Synopsis Library Compiler.  

First we need to enter our cell area information and input pin capacitance information into a librarylist.nh. Please note that we should follow the following format.  The file is below: 

 

Next-->